The Software Model of the Network-on-Chip with Irregular Topologies

Authors

  • Д. О. Феськов Національний технічний університет України «КПІ»
  • О. Ю. Романов Національний технічний університет України «КПІ»
  • Є. В. Короткий Національний технічний університет України «КПІ»

DOI:

https://doi.org/10.18372/2073-4751.2.6481

Abstract

The review of different approaches to the simulation of the networks-on-chip (NoC) is performed. The simulator of the NoC where the topology is set with the matrix of connections between the routers that manage the traffic by means of the routing tables is developed. The capabilities of the NoC simulator are examined and the results of its approbation by the example of the regular and quasi-optimal NoCs are presented

References

Genko N. Feature-NoC emulation: a tool and design flow for MPSoC / N. Genko, D. Atienza, G. De Micheli, L. Benini // IEEE Circuits and Systems Magazine. – 2007. – Vol. 7. – No. 4. – pp. 42–51.

Bertozzi D. Xpipes: A network-on-chip architecture for gigascale systems-on-

Проблеми інформатизації та управління, 2(42)’2013 123

chip / D. Bertozzi, L. Benini // IEEE Circuits and Systems Magazine. – 2004. – Vol. 4. – No. 2. – pp. 18–31.

Murali S. Bandwidth-constrained mapping of cores onto NoC architectures / Murali S., De Micheli G. // Proceedings of the Conference on Design, Automation and Test in Europe, 2004 (DATE’04).– Paris, 2004. – Vol. 2. – pp. 16–20.

Bertozzi D. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip / D. Bertozzi, S. Murali, A. Jalabert // IEEE Transactions on Parallel and Distributed Systems. – 2005. – Vol. 16. – No. 2. – pp. 113–129.

Mahadevan S. ARTS: A SystemC-based framework for multiprocessor Systems-on-Chip modelling / S. Mahadevan, K. Virk, J. Madsen // Design Automation for Embedded Systems. – Springer, 2007. – Vol. 11. – No. 4. – pp. 285–311.

Fazzino F. Noxim: Network-on-chip simulator [Електронний ресурс] / F. Fazzino, M. Palesi, D. Patti. – Режим до-ступу: http://noxim.sourceforge.net/.

Jain L. NIRGAM: A Simulator for NoC Interconnect Routing and Application Modeling [Електронний ресурс] / L. Jain. – [Version 1.1]. – 2007. – 27 p. – Режим дос-тупу: http://nirgam.ecs.soton.ac.uk/ Documentation.php.

Genko N. A Complete Network-On-Chip Emulation Framework / N. Genko, D. Atienza, G. De Micheli, et al. // Design, Automation and Test in Europe, 2005. Proceedings. – 2005. – Vol. 1. – pp. 246–251.

Xiaowen C. Speedup Analysis of Data-parallel Applications on Multi-core NoCs/ C. Xiaowen, L. Zhonghai; A. Jantsch, C. Shuming // IEEE 8th International Conference on ASIC, 2009 (ASICON’09). – 2009. – pp. 105–108.

Freitas H.C. Evaluating On-Chip Interconnection Architectures for Parallel Processing / H.C. Feritas, P.O.A. Navaux // 11th IEEE International Conference on Computational Science and Engineering Workshops, 2008 (CSEWORKSHOPS’08). – 2008. – pp. 188–193.

Hossain H. GpNoCsim – A General Purpose Simulator for Network-on-Chip / H. Hossain, M. Ahmed, A. Al-Nayeem // International Conference on Information and Communication Technology, 2007 (ICICT’07). – 2007. – pp. 254–257.

Al-Nayeem A. GpNoCsim 1.0 User’s Guide / A. Al-Nayeem, T. Z. Islam. – 2006. – 13 p.

Романов О.Ю. Оптимальні топо-логії мереж на кристалі / О.Ю. Романов // Комп’ютерні науки та інженерія: Матері-али V Міжнародної конференції молодих вчених CSE-2011. – Львів: Львівська по-літехніка, 2011. – С. 132–135.

Романов А.Ю. Оптимизация то-пологий сетей на кристалле / А.Ю. Романов // Вісник НТУ "ХПІ". Збір-ник наукових праць. Тематичний випуск: Інформатика i моделювання. – Харків: НТУ "ХПІ", 2011. – № 36. – С. 149-155.

Романов А.Ю. Разработка про-граммного симулятора сетей на кристалле / А.Ю. Романов, Д.А. Феськов // Электро-ника и связь: Электроника и нанотехно-логии. – Киев: НТУУ «КПИ», 2011. – Т. 4(63). – С. 48–52.

Романов О.Ю. Програмна мо-дель багатопроцесорної мережі на крис-талі / О.Ю. Романов, Д.О. Феськов // IV міжнародна науково-технічна конферен-ція молодих вчених «Електроніка-2011». Збірник статей. – Київ: «АВЕРС», 2011. – Ч. 2. – С. 118–123.

Офіційний веб-сайт “Qt Jambi” [Електронний ресурс]. – Режим доступу: http://qt.nokia.com/

Romanov О. The Comparative Analysis of the Efficiency of Regular and Pseudo-optimal Topologies of Networks-on-Chip Based on Netmaker / O. Romanov, O. Lysenko // Advances and Challenges in Embedded Computing. Proceed-ings. – Montenegro, Bar: 2012. – pp. 13–16.

Issue

Section

Статті